Intel has a new architectural road map up to 2025 – News2IN
Gadgets

Intel has a new architectural road map up to 2025

Intel revealed the detailed process of process and pruning packaging technology, displaying a series of innovations that he said would strengthen 2025 and so on.
In addition to announcing Ribbonfet, the architecture of his new transistor in more than a decade, and PowerVia, a new rear power shipping method, the company highlights its rapid adoption of the next generation of extreme ultraviolet lithography (EUV), referred to as high (high) NUV) numeric openings.
“Building Intel’s leadership that does not need to be questioned in advanced packaging, we accelerate the road map of our innovation to ensure we are on a clear path to process performance leadership in 2025,” said Intel Gelsinger CEO on the global “Intel Excelerated” webcast.
“We utilize our unmatched innovation pipes to provide technological advancements from transistors to the level of the system.
Until the periodic table runs out, we will endlessly pursue the law of Moore and our path to innovate with the magic of silicon.” Intel has introduced a new naming structure for nodes The process.
It will no longer use the namerometer node node scheme which has been used for years.
In accordance with the company, this new naming scheme is more important with the launch of Intel casting services.
“The innovation launched today will not only allow the Roadmap of Intel products; they will also be very important for our casting customers,” Gelsinger said.
“Interest at IFS has been strong and I am happy today we announce our first two main customers.
If it goes to the race!” Intel Technologist describes the following road map with the new node name: Intel 7 claims to provide per-watt per-watt performance around 10 % to 15% versus 10nm Intel Superfin, based on Finfet transistor optimization.
Intel 7 will be displayed in products such as Lake Alder for clients in 2021 and Rapids Sapphire for data centers, which are expected to be produced in the first quarter of 2022.
Intel 4 adopts EUV Lithography to print small features using ultra-short light wavelengths.
Intel 4 will be ready for production in the second half of 2022 for product delivery in 2023, including Lake Meteor for clients and granite rapids for data centers.
Intel 3 utilizes further Finfet optimization and increases EUV to provide an increase in performance per-watt around 18% of Intel 4, according to the company.
Intel 3 will be ready to start producing products in the second half of 2023.
Intel 20A leads an angstrom era with two technologies, ribbons and PowerVia.
Ribbonfet, the Intel implementation of the transistor gateway-all-around, will be the first company’s first transistor architecture since Finfet in 2011.
PowerVia is an Intel implementation of backside power shipping, optimizing signal transmission by eliminating the need for power routes on the front side.
Wafer.
Intel 20A is expected to appear in 2024.
The company also partnered with Qualcomm using Intel 20A process technology.
With the new IDM 2.0 strategy, the packaging becomes more important to realize the benefits of Moore’s law.
Intel announced that AWS would be the first customer to use IFS packaging solutions.

About the author

news2in